legoHDL

The package manager and development tool for Hardware Description Languages (HDL)

Maintained by: Chase Ruskin

legoHDL

This is a long description…

… about legoHDL.