parser

pyVHDLParser
A token-stream based parser for VHDL-2008 creating a document object model (DOM).

GHDL
Open-source analyzer, compiler, simulator and synthesizer for VHDL

hdlConvertor
System Verilog and VHDL parser, preprocessor and code generator for Python/C++ written